64_scheme_1.v 5.3 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710
  1. `timescale 1ns/1ps
  2. /////////// 64*64 bit multiplier scheme 1///////////
  3. module approx_multiplier_1 (input [63:0]a, input [63:0]b, output reg [127:0]y);
  4. integer i,j,k,l,sum1,sum2,sum;
  5. parameter num=7;
  6. reg [6:0]m=0; // m and n are the two partial numbers
  7. reg [6:0]n=0;
  8. always @(a or b)
  9. begin
  10. if (a[63]==1)
  11. begin
  12. k=63;
  13. end
  14. else if(a[62]==1)
  15. begin
  16. k=62;
  17. end
  18. else if(a[61]==1)
  19. begin
  20. k=61;
  21. end
  22. else if(a[60]==1)
  23. begin
  24. k=60;
  25. end
  26. else if(a[59]==1)
  27. begin
  28. k=59;
  29. end
  30. else if(a[58]==1)
  31. begin
  32. k=58;
  33. end
  34. else if (a[57]==1)
  35. begin
  36. k=57;
  37. end
  38. else if(a[56]==1)
  39. begin
  40. k=56;
  41. end
  42. else if(a[55]==1)
  43. begin
  44. k=55;
  45. end
  46. else if(a[54]==1)
  47. begin
  48. k=54;
  49. end
  50. else if(a[53]==1)
  51. begin
  52. k=53;
  53. end
  54. else if(a[52]==1)
  55. begin
  56. k=52;
  57. end
  58. else if(a[51]==1)
  59. begin
  60. k=51;
  61. end
  62. else if(a[50]==1)
  63. begin
  64. k=50;
  65. end
  66. else if(a[49]==1)
  67. begin
  68. k=49;
  69. end
  70. else if(a[48]==1)
  71. begin
  72. k=48;
  73. end
  74. else if(a[47]==1)
  75. begin
  76. k=47;
  77. end
  78. else if(a[46]==1)
  79. begin
  80. k=46;
  81. end
  82. else if(a[45]==1)
  83. begin
  84. k=45;
  85. end
  86. else if(a[44]==1)
  87. begin
  88. k=44;
  89. end
  90. else if(a[43]==1)
  91. begin
  92. k=43;
  93. end
  94. else if(a[42]==1)
  95. begin
  96. k=42;
  97. end
  98. else if(a[41]==1)
  99. begin
  100. k=41;
  101. end
  102. else if(a[40]==1)
  103. begin
  104. k=40;
  105. end
  106. else if(a[39]==1)
  107. begin
  108. k=39;
  109. end
  110. else if(a[38]==1)
  111. begin
  112. k=38;
  113. end
  114. else if(a[37]==1)
  115. begin
  116. k=37;
  117. end
  118. else if(a[36]==1)
  119. begin
  120. k=36;
  121. end
  122. else if(a[35]==1)
  123. begin
  124. k=35;
  125. end
  126. else if(a[34]==1)
  127. begin
  128. k=34;
  129. end
  130. else if(a[33]==1)
  131. begin
  132. k=33;
  133. end
  134. else if (a[32]==1)
  135. begin
  136. k=32;
  137. end
  138. else if(a[31]==1)
  139. begin
  140. k=31;
  141. end
  142. else if(a[30]==1)
  143. begin
  144. k=30;
  145. end
  146. else if(a[29]==1)
  147. begin
  148. k=29;
  149. end
  150. else if(a[28]==1)
  151. begin
  152. k=28;
  153. end
  154. else if(a[27]==1)
  155. begin
  156. k=27;
  157. end
  158. else if(a[26]==1)
  159. begin
  160. k=26;
  161. end
  162. else if (a[25]==1)
  163. begin
  164. k=25;
  165. end
  166. else if(a[24]==1)
  167. begin
  168. k=24;
  169. end
  170. else if(a[23]==1)
  171. begin
  172. k=23;
  173. end
  174. else if(a[22]==1)
  175. begin
  176. k=22;
  177. end
  178. else if(a[21]==1)
  179. begin
  180. k=21;
  181. end
  182. else if(a[20]==1)
  183. begin
  184. k=20;
  185. end
  186. else if(a[19]==1)
  187. begin
  188. k=19;
  189. end
  190. else if(a[18]==1)
  191. begin
  192. k=18;
  193. end
  194. else if(a[17]==1)
  195. begin
  196. k=17;
  197. end
  198. else if(a[16]==1)
  199. begin
  200. k=16;
  201. end
  202. else if(a[15]==1)
  203. begin
  204. k=15;
  205. end
  206. else if(a[14]==1)
  207. begin
  208. k=14;
  209. end
  210. else if(a[13]==1)
  211. begin
  212. k=13;
  213. end
  214. else if(a[12]==1)
  215. begin
  216. k=12;
  217. end
  218. else if(a[11]==1)
  219. begin
  220. k=11;
  221. end
  222. else if(a[10]==1)
  223. begin
  224. k=10;
  225. end
  226. else if(a[9]==1)
  227. begin
  228. k=9;
  229. end
  230. else if(a[8]==1)
  231. begin
  232. k=8;
  233. end
  234. else if(a[7]==1)
  235. begin
  236. k=7;
  237. end
  238. else if(a[6]==1)
  239. begin
  240. k=6;
  241. end
  242. else if(a[5]==1)
  243. begin
  244. k=5;
  245. end
  246. else if(a[4]==1)
  247. begin
  248. k=4;
  249. end
  250. else if(a[3]==1)
  251. begin
  252. k=3;
  253. end
  254. else if(a[2]==1)
  255. begin
  256. k=2;
  257. end
  258. else if(a[1]==1)
  259. begin
  260. k=1;
  261. end
  262. else
  263. begin
  264. k=0;
  265. end
  266. if (b[63]==1)
  267. begin
  268. l=63;
  269. end
  270. else if(b[62]==1)
  271. begin
  272. l=62;
  273. end
  274. else if(b[61]==1)
  275. begin
  276. l=61;
  277. end
  278. else if(b[60]==1)
  279. begin
  280. l=60;
  281. end
  282. else if(b[59]==1)
  283. begin
  284. l=59;
  285. end
  286. else if(b[58]==1)
  287. begin
  288. l=58;
  289. end
  290. else if (b[57]==1)
  291. begin
  292. l=57;
  293. end
  294. else if(b[56]==1)
  295. begin
  296. l=56;
  297. end
  298. else if(b[55]==1)
  299. begin
  300. l=55;
  301. end
  302. else if(b[54]==1)
  303. begin
  304. l=54;
  305. end
  306. else if(b[53]==1)
  307. begin
  308. l=53;
  309. end
  310. else if(b[52]==1)
  311. begin
  312. l=52;
  313. end
  314. else if(b[51]==1)
  315. begin
  316. l=51;
  317. end
  318. else if(b[50]==1)
  319. begin
  320. l=50;
  321. end
  322. else if(b[49]==1)
  323. begin
  324. l=49;
  325. end
  326. else if(b[48]==1)
  327. begin
  328. l=48;
  329. end
  330. else if(b[47]==1)
  331. begin
  332. l=47;
  333. end
  334. else if(b[46]==1)
  335. begin
  336. l=46;
  337. end
  338. else if(b[45]==1)
  339. begin
  340. l=45;
  341. end
  342. else if(b[44]==1)
  343. begin
  344. l=44;
  345. end
  346. else if(b[43]==1)
  347. begin
  348. l=43;
  349. end
  350. else if(b[42]==1)
  351. begin
  352. l=42;
  353. end
  354. else if(b[41]==1)
  355. begin
  356. l=41;
  357. end
  358. else if(b[40]==1)
  359. begin
  360. l=40;
  361. end
  362. else if(b[39]==1)
  363. begin
  364. l=39;
  365. end
  366. else if(b[38]==1)
  367. begin
  368. l=38;
  369. end
  370. else if(b[37]==1)
  371. begin
  372. l=37;
  373. end
  374. else if(b[36]==1)
  375. begin
  376. l=36;
  377. end
  378. else if(b[35]==1)
  379. begin
  380. l=35;
  381. end
  382. else if(b[34]==1)
  383. begin
  384. l=34;
  385. end
  386. else if(b[33]==1)
  387. begin
  388. l=33;
  389. end
  390. else if (b[32]==1)
  391. begin
  392. l=32;
  393. end
  394. else if(b[31]==1)
  395. begin
  396. l=31;
  397. end
  398. else if(b[30]==1)
  399. begin
  400. l=30;
  401. end
  402. else if(b[29]==1)
  403. begin
  404. l=29;
  405. end
  406. else if(b[28]==1)
  407. begin
  408. l=28;
  409. end
  410. else if(b[27]==1)
  411. begin
  412. l=27;
  413. end
  414. else if(b[26]==1)
  415. begin
  416. l=26;
  417. end
  418. else if (b[25]==1)
  419. begin
  420. l=25;
  421. end
  422. else if(b[24]==1)
  423. begin
  424. l=24;
  425. end
  426. else if(b[23]==1)
  427. begin
  428. l=23;
  429. end
  430. else if(b[22]==1)
  431. begin
  432. l=22;
  433. end
  434. else if(b[21]==1)
  435. begin
  436. l=21;
  437. end
  438. else if(b[20]==1)
  439. begin
  440. l=20;
  441. end
  442. else if(b[19]==1)
  443. begin
  444. l=19;
  445. end
  446. else if(b[18]==1)
  447. begin
  448. l=18;
  449. end
  450. else if(b[17]==1)
  451. begin
  452. l=17;
  453. end
  454. else if(b[16]==1)
  455. begin
  456. l=16;
  457. end
  458. else if(b[15]==1)
  459. begin
  460. l=15;
  461. end
  462. else if(b[14]==1)
  463. begin
  464. l=14;
  465. end
  466. else if(b[13]==1)
  467. begin
  468. l=13;
  469. end
  470. else if(b[12]==1)
  471. begin
  472. l=12;
  473. end
  474. else if(b[11]==1)
  475. begin
  476. l=11;
  477. end
  478. else if(b[10]==1)
  479. begin
  480. l=10;
  481. end
  482. else if(b[9]==1)
  483. begin
  484. l=9;
  485. end
  486. else if(b[8]==1)
  487. begin
  488. l=8;
  489. end
  490. else if(b[7]==1)
  491. begin
  492. l=7;
  493. end
  494. else if(b[6]==1)
  495. begin
  496. l=6;
  497. end
  498. else if(b[5]==1)
  499. begin
  500. l=5;
  501. end
  502. else if(b[4]==1)
  503. begin
  504. l=4;
  505. end
  506. else if(b[3]==1)
  507. begin
  508. l=3;
  509. end
  510. else if(b[2]==1)
  511. begin
  512. l=2;
  513. end
  514. else if(b[1]==1)
  515. begin
  516. l=1;
  517. end
  518. else
  519. begin
  520. l=0;
  521. end
  522. m=0;
  523. n=0;
  524. sum1 = k-num;
  525. sum2 = l-num;
  526. if (sum1<0)
  527. begin
  528. sum1 = -1;
  529. end
  530. if (sum2 <0)
  531. begin
  532. sum2 = -1;
  533. end
  534. sum = sum1+sum2+2;
  535. for (i=0;(i<num);i=i+1)
  536. begin
  537. m[num-1-i]=a[k-i];
  538. end
  539. for (j=0;(j<num);j=j+1)
  540. begin
  541. n[num-1-j]=b[l-j];
  542. end
  543. if (k<=num)
  544. begin
  545. m = a;
  546. end
  547. if (l<=num)
  548. begin
  549. n = b;
  550. end
  551. y=0;
  552. y=m*n;
  553. y=y<<sum;
  554. end
  555. endmodule
  556. /* module tb_approx_multiplier_1();
  557. wire [127:0]y;
  558. reg [63:0]a;
  559. reg [63:0]b;
  560. parameter num=7;
  561. approx_multiplier_1 #(num) M1(a,b,y);
  562. initial begin
  563. #0 a=56783567; b=672323;
  564. #5 a=4012869; b=35343233;
  565. #5 a=5345664; b=655677;
  566. #5 a=1213313; b=987652;
  567. #5 a=94384884; b=3344333;
  568. end
  569. initial begin
  570. $display ("time a b y ");
  571. $monitor (" %0d %0d %0d %0d ",$time,a,b,y);
  572. end
  573. endmodule */